PCハードウェアの技術とトレンドを理解するための解説集
 
【お知らせ】2002年4月23日をもちまして、PC InsiderはSystem Insiderにリニューアルしました。新規の記事につきましては、System Insiderでの掲載となります。 → System Insiderの解説目次
 
  プロダクト・レビュー 
さまざまな新技術が注ぎ込まれたミッドレンジIAサーバ「eserver xSeries 360」--2002/03/14
ウイルスを遮断できるブロードバンド・ルータ「GateLock X200」--2001/11/20
10万円未満の低価格IAサーバを斬る--2001/10/18
 ―デルコンピュータPowerEdge 500SC―
オフィスへのカラー・プリンタ導入を加速する「IPSiO Color 2200」--2001/04/18
スケーラビリティの高い1Uサーバ「PowerEdge 1550」--2001/04/14
大量導入向け低価格1Uサーバ「コンパック ProLiant DL320」--2001/04/11
ディスクに簡単バックアップ「StandbyDisk 2000 Pro」--2001/03/01
安価で話題のIDE RAIDコントローラ・カード「FastTrak100」--2001/02/15
手軽さが魅力の交換メディア「8MB USBメモリー・キー」--2001/02/14
科学技術計算に最適なPentium 4搭載PC「Dimension 8100」--2001/01/13
ビジネスでも使えるインクジェット・プリンタ「エプソン PM-900C」--2000/12/27
光を使った無線LANシステム「VIPSLAN-E」--2000/12/14
手ごろになった無線LANキット「airDirect Starter Kit」--2000/12/08

  インタビュー 
第1部 プロセッサ会社からの脱皮を図る「Intel」
第3回 戦略を大きく転換したアプライアンス・サーバ事業--2001/11/29
これまでIntelは、「NetStructure」ブランドでアプライアンス・サーバを販売してきた。しかし、2000年末からOEM供給に切り替え、現在では自社ブランドでの販売を中止している。この判断の裏に何があるのか、Intelでアプライアンス・サーバを担当するリチャード・リントン氏に話を伺った。
第2回 インテルのソリューション・サービスは顧客志向で--2001/07/24
インテルのサービス事業の1つ、「インテル・ソリューション・サービス」の目的はどこにあるのかを、責任者の小鷲英一 氏に聞いた。インテル・ソリューション・サービスが手がけたカブドットコム証券の事例とともに、なぜインテルがこうしたサービスを提供するのかを明らかにする。
第1回 Intelがサーバ環境を変えていく--2001/05/15
クライアントPC向けプロセッサで圧倒的なシェアを誇るIntelも、ハイエンド・サーバ市場はこれから。この市場をどう切り崩していくのか、インテルのeマーケティング本部長 佐藤宣行 氏に聞いた。
2001年、AMDはプロセッサ・シェアの30%を目指す--2001/05/11
2000年のAMDは、主にホームPCを中心に採用ベンダ、シェアともに伸ばし、プロセッサ・シェアの約20%を確保した。2001年は、ビジネスPC市場、特にサーバ市場でシェアの拡大を目指している。AMDのサーバ市場向け戦略などを日本AMD 取締役の吉澤氏に聞いた。

  技術・動向解説 
PCの内部はすべて「シリアル」でつながる--2001/10/24
―次世代I/O規格「3GIO」の目指すところ―
Intelが提唱する次世代のI/O規格「3GIO」。PC内部のチップ間接続や拡張スロットに採用されるこの規格でPCが大きく変わろうとしている。この規格が目指す先にあるものを解き明かす。
IDEディスクの壁を打ち破る最新ディスク・インターフェイス(後編)--2001/09/20
IDEインターフェイスを高速化する主役はシリアルATAなのか、それとも新登場のFast Drive(Ultra ATA/133)なのか、最新のスケジュールとともに解説する。
IDEディスクの壁を打ち破る最新ディスク・インターフェイス(前編)--2001/09/15
容量と転送レートの向上が著しいIDEハードディスクに対応すべく、新しい技術が登場し始めた。まずは容量の壁を破る「Big Drive」の正体を明らかにする。
最新IDE RAID事情--2001/02/09
従来はSCSI RAIDだけだったRAID製品の市場に、IDE RAIDがコストの安さを武器に切り込み始めた。さまざまな種類の製品が存在するIDE RAIDを分類・整理して、その機能やメリット/デメリットなどを明らかにする。
次世代の標準ディスク・インターフェイス「シリアルATA」のすべて--2001/01/27
IDEに代わる次世代の標準ディスク・インターフェイス規格「シリアルATA」が公開された。シリアルATAとはどういった規格なのか、いつPCに標準装備されるようになるのかなどを解説する。
次世代標準メモリの最有力候補「DDR SDRAM」の実像--2000/12/21
x86プロセッサの性能向上にあわせて、PCのメイン・メモリは従来のSDRAMから大幅に性能を高めたDDR SDRAMに世代交代しようとしている。その本格的な普及の前に、DDR SDRAMの実体を把握しておこう。
IT管理者のためのIPSec講座--2000/11/27
インターネットの普及により、暗号通信のニーズが高まりつつある。特に、アプリケーション に依存せずに暗号通信が行える「IPSec」が注目を集めている。そこで、本稿ではIPSecの仕組みを解説する。

  ニュース解説 
エンタープライズ重視のIntelを示したIDF Spring 2002--2002/03/12
2002年2月末にサンフランシスコで開催された「IDF Spring 2002」。その内容は、Intelのエンタープライズ志向を感じさせるものであった。発表製品などを中心にIDF Spring 2002の様子を紹介する。
―2002 International CESレポート第2弾―
2002 CESで見えてきた次世代ネットワーク・デバイス--2002/01/30
2002年1月8日から4日間に渡って開催された「2002 International CES」のレポート第2弾。今回は、最新のネットワーク機器を中心に米国の動向を探る。
―2002 International CESレポート第1弾―
2002 CESに見る最新PDA事情--2002/01/23
2002年1月8日から11日の4日間に渡って米国ネバタ州ラスベガスで開催された「2002 International CES」についてレポートする。今回は第1弾として、PDA関連製品を中心に取り上げる。
 
  2001年のニュース解説 
暗雲立ち込めるHPとComaqの合併--2001/12/22
HPとCompaqの合併の雲行きが怪しくなってきた。共同創業者のヒューレット家とパッカード家が揃って合併に反対を表明したからだ。果たして合併は成功するのか?
SiSがDirect RDRAMのサポートを表明、その真意--2001/11/16
IntelがDirect RDRAMの計画を縮小する中、SiSがDirect RDRAMのサポートを表明した。なぜ、SiSはDirect RDRAMのサポートを行うのか?
2002年、CeleronもNetBurstアーキテクチャになる--2001/11/06
2001年10月30日、Intelは「2001 Intel Executive Webcast」を開催した。ここで発表されたプロセッサ・ロードマップなどを中心に、2002年のIntelの戦略を解説する。
期待の新プロセッサ「Athlon XP」が発表--2001/10/11
―性能を示すモデル・ナンバに賛否両論―
AMD期待のデスクトップPC向け新プロセッサ「Athlon XP」。このプロセッサが採用して賛否両論の性能指標「モデル・ナンバ」と、Athlon XPの特徴を解説する。
泥沼へ向かうIntelとVIA Technologiesの特許争い--2001/09/29
VIA TechnologiesがPentium 4用チップセットを発表。これがIntelとの特許争いに発展し、両社が裁判所に提訴するという状態になっている。その背景を探る。
Pentium 4用チップセット「Intel 845」はメインストリームになれるのか?--2001/09/13
SDRAMに対応した期待のPentium 4用チップセット「Intel 845」。Intel 850と比較した性能なのか気になるところ。早速ベンチマーク・テストを実施して検証した。
―IDF Fall 2001レポート―
Hyper-Threading、3GIO、Serial ATA―2003年のPCが見えたIDF--2001/09/11
8月27日から30日の4日間に渡り、米国サンノゼで開催されたIDF Fall 2001。ここで数年先にPCで主流となる新しい技術が次々と披露された。その内容を紹介する。
Gateway、日本市場などから撤退--2001/08/30
―米国に資源を集中する再建策を発表―
Gatewayが日本市場などからの撤退を発表した。資源を米国に集中し、再建を図るという。なぜ、Gatewayは業績不振に陥ってしまったのか、その理由を考える。
不景気がPentium 4とAthlonを半値にする?--2001/08/30
8月26日、IntelとAMDが相次いでプロセッサの値下げを発表した。これにより、Pentium 4とAthlonは従来の約半値になった。なぜ、これほど大幅な値下げを行ったのだろうか?
DVD+RWは混乱する書き換え型DVD規格の真打ちになれるのか!?--2001/08/25
HPとリコーからDVD+RWドライブが発表となった。DVD-RWやDVD-RAMの欠点を研究し、使い勝手が向上しているのが特徴。DVD+RWは、混乱する書き換え型DVD規格の真打ちになれるのか?
Intelと共にPentium 4へシフトするチップセット・ベンダ--2001/08/18
SDRAM対応のIntel製Pentium 4用チップセット「Intel 845」を牽制するかのように、相次いでサードパーティからDDR SDRAM対応チップセットが発表された。その最新情報をレポートする。
CompaqはなぜIntelと次世代エンタープライズ・サーバ開発で合意したのか?--2001/06/27
―Alphaプロセッサから撤退の理由―
CompaqとIntelがハイエンド・サーバ分野で合意。これには、Itaniumシステムへの一本化とともに、Alpha資産のIntelの譲渡も含まれている。その真相は?
DRAM価格暴落で戦略転換が求められるメモリ・ベンダ--2001/06/27
―MicronはDDR SDRAMとSDR SDRAMをいつまで同価格に維持できるのか―
メモリの価格が下げ止まらないことから、メモリ・ベンダ各社の経営が苦しくなってきている。この状態が続くならば、メモリ・ベンダは戦略を大きく変えなければならない。Micronの「DDRとSDRの価格は同じ」という主張がいつまで続けられるのか。
NVIDIAがXboxを先取りするAthlon向けチップセットを発表--2001/06/13
NVIDIAがかねてよりうわさのあったAthlon向けチップセット「nForce」を発表した。GeForce 2 MX相当のグラフィックス・コアを内蔵するこのチップセットは、果たしてどのセグメントに向けたものなのかを検証する。
次々世代メモリ「DDR II」の標準化動向--2001/06/06
DDR SDRAMの次の標準メモリとして「DDR II」の規格化が進んでいる。DDR IIとはどういった規格なのか、またいつ製品が登場するのかなどを解説する。
混沌のDVD規格、ライトワンス型のDVD+Rが登場--2001/05/26
DVD+RWを推進しているDVD+RW Allianceが、新たにライトワンス型のDVD+R規格を発表した。書き込み可能なDVD規格は、これで5種類となり、混沌としている。書き込み可能なDVD規格を整理するとともに、DVD+Rの位置付けを考える。
Rambus社に「敗訴」の司法判断 どうなるPentium 4のDDR SDRAMサポート--2001/05/19
―メモリ業界を二分するRambus裁判続報―
5月4日の特許に関する裁判でRambus社が敗れた。この敗訴が与える次世代チップセットへの影響や、DDR SDRAMをサポートするNVIDIAのAthlon向けチップセット「Crushシリーズ」の状況などを解説する。
ついにモバイルAthlon 4が登場--2001/05/16
―火蓋を切ったノートPCのプロセッサ戦争―
モバイルAMD Athlon 4が発表された。1GHzの動作クロックと2Wの消費電力は、モバイルPentium IIIに十分対抗できるもの。デスクトップPCで繰り広げられたプロセッサ戦争がノートPC市場でも再燃するのか。
新しい製造技術の導入でIntelに挑むAMD--2001/05/10
―Athlonに0.13μmプロセスのSOI技術導入を発表―
AMDは、2001年4月27日に開催した株主総会で、2001年から2002年にかけてのプロセッサのロードマップを発表した。この中で、0.13μmプロセスとSOI技術を採用した新Ahtlon(開発コード名「Barton」)の存在などを明らかにした。
―IDF Spring 2001 Japanレポート―
Intel勝利の方程式を語る--2001/04/24
Intelは、開発者向けのカンファレンス「IDF Japan」で現在力を入れているサーバやモバイル、ネットワークなどについて語った。ここで明らかになったIntel Xeonや無線LAN、USB 2.0などの戦略と状況を紹介する。
メモリ業界を二分するRambus裁判の行方--2001/04/12
Rambus社とInfineonなどのメモリ・ベンダ間のSDRAM/DDR SDRAMの特許に関する裁判が混沌としてきている。この裁判の結果によっては、DDR SDRAMを採用するXboxなどにも影響が出そうだ。この裁判はどのような決着を迎えるのだろうか?
VIA C3はCeleronの対抗になれるのか--2001/04/04
2001年3月25日、VIA Technologiesから新型プロセッサ「VIA C3」が発表された。VIA C3は、Celeronに比べ、消費電力が小さく、価格も安い。省スペース型デスクトップPCや1Uラックマウント型サーバの普及から、最近では消費電力が小さいプロセッサが望まれている。VIA C3はそうした流れに乗ることができるのだろうか?
IDFで明らかになったインテルのプロセッサ・ロードマップ(2001年春編)--2001/03/13
2001年2月下旬に開催されたIDFで2001年に発表予定のプロセッサに関して新しい事実が明らかになった。それをもとにデスクトップ、ノート、サーバの3つのセグメントに分けてインテルのプロセッサ・ロードマップを更新する。
変貌する2001年のノートPC--2001/02/09
―薄型・軽量、そして低価格。デストップPCの熾烈なコストパフォーマンス競争は、舞台をノートPCに変える―
低消費電力のプロセッサが登場し、液晶パネルなどが低価格化することで、2001年のノートPCは大きく変わる。デスクトップPCで繰り広げられた価格競争が、ノートPCに波及する可能性も高い。2001年のノートPCがどのように変わるのかを予想する。
新たな携帯電話向けアプリケーション環境が登場--2001/02/01
―QUALCOMMが携帯Java対抗の「BREW」を発表―
QUALCOMMが携帯電話向けアプリケーション環境として「BREW」を発表した。BREWでは、携帯Javaと異なり、バイナリ・プログラムを実行可能とする。KDDIが年内にも対応端末の提供を行うというBREWの持つ意味を解説する。
世紀末決算に見るIntelの21世紀--2001/01/20
―2001年後半にはPentium 4が主力に―
2000年度のIntelの決算が報告された。2000年12月発表の下方修正値どおりの結果であった。決算報告では景気が後退する中でのIntelの戦略も見え隠れした。2001年のPentium 4の動向と併せて、決算報告をレポートする。
電力線でインターネット接続が可能になる日--2001/01/20
電力線をインターネット接続回線に利用するメドが立ってきた。電力線ネットワークが実現すれば、ラスト・ワンマイルの問題が一気に解決する。そこで、電力線ネットワークの国内外の現状をレポートする。
―2001 CESレポート―
IntelのCEO バレット氏が語ったPCと家電のこれから--2001/01/13
2001年1月6日から9日の4日間に渡って、消費者向け家電の展示会「2001 CES International」 が開催された。この模様をIntelのCEO、クレッグ・バレット氏のキーノート・スピーチを中心に紹介する。
CeleronのFSB 100MHz化からみるインテルのプロセッサ戦略--2001/01/06
FSBを100MHzに引き上げたCeleron-800MHzが発表となった。これにより、Pentium IIIとCeleronの性能差が縮まったことになる。CeleronのFSB 100MHz化の意味と、今後のインテル・プロセッサの動向を大胆に推測してみよう。
 
  2000年のニュース解説 
Intelが超微細CMOSトランジスタを開発--2000/12/15
―プロセッサの10GHz動作にメド―
IntelがIEEE国際電子デバイス会議2000でゲート長30nmという超微細CMOSトランジスタの開発に成功したと発表した。このトランジスタを集積することで10GHz駆動のプロセッサが実現可能だという。この技術の持つ意義を解説する。
Pentium 4の船出は前途多難?--2000/11/22
Pentium 4がついに正式発表となった。ただ、当初Pentium 4に組み合わされるメイン・メモリは、価格が高いDirect RDRAMだけとなる。また、Pentium 4は、性能面でもPentium IIIに対して大きなアドバンテージがない。果たしてPentium 4は、順調に立ち上がるのだろうか。
AMDのDDR SDRAM対応プラットフォームの発表で次世代メモリの標準化が決着!?--2000/11/01
―DDR SDRAM対応チップセット「AMD-760」が正式発表―
かねてからAMDが開発していたAthlon向け新型チップセット「AMD-760」が正式に発表された。最大の特徴はDDR SDRAMをメイン・メモリに利用すること。DDR SDRAMを巡る現状を中心に、この新しいチップセットについて解説する。
速報:WORLD PC EXPO 2000レポート--2000/10/19
―Crusoe搭載ノートPCやBluetoothが登場―
PC関連の機器やソフトウェアを一堂に集めた展示会「WORLD PC EXPO 2000」が開幕した。注目のCrusoe搭載ノートPCが初めて一般に公開されたほか、各社からBluetooth対応機器の展示が行われた。WORLD PC EXPO 2000を注目の製品を中心に紹介する。
Intelに競合するチップセット・ベンダの動向--2000/10/13
―注目のDDR SDRAM対応チップセットなどの動向をチェック―
2000年後半から2001年にかけて、Intelと競合するチップセット・ベンダは、さまざまな新型チップセットを市場に投入する。特に注目されるDDR SDRAM対応チップセットを含む、デスクトップPC向けチップセットの最新動向を確認する。
Intelが低価格PC向けプロセッサ、Timnaの開発を中止--2000/10/03
Intelが低価格PC向け統合プロセッサの「Timna(ティムナ:開発コード名)」の開発を中止した。Timnaは、600ドル以下のエントリPC向けに開発を進めていたものだ。Timna開発中止の裏側を探る。
動き始めたDDR SDRAMと対抗するRambus--2000/09/30
2000年初頭からRambusがメモリ・ベンダ各社に対し、同社が持つ特許の使用に関して契約を結ぶことを要求している。この背景には、急速に立ち上がりつつあるDDR SDRAMの存在がある。こうした次世代メモリ技術を巡る戦いの背景を考察する。
IDF JapanでPentium 4搭載のコンセプトPCを公開--2000/09/22
2000年9月18日から20日までの3日間で開催されたIDF JapanでPentium 4搭載のコンセプトPC「Akiru」が公開された。Akiruの仕様から発表前のPentium 4システムの仕様を考察する。
64bitプロセッサで別々の道を歩き始めたIntelとAMD--2000/08/29
―IntelのIA-64とAMDのx86-64アーキテクチャの現状―
IntelとAMDの64bitプロセッサに関するそれぞれの戦略が明らかになった。Intelはこれまでのx86アーキテクチャから脱却し、一方のAMDはx86アーキテクチャを継承する道を選択した。なぜ、両社はこのような選択を行ったのだろうか。
S3がグラフィックス カード事業からも撤退--2000/08/10
―事業再編が進むグラフィックス業界―
S3がグラフィックス カード事業からの撤退を発表した。すでにグラフィックス・チップ事業はVIA Technologiesとの合弁会社への移管を発表しており、これでS3は完全にグラフィックス事業から撤退することになる。なぜ、S3はグラフィックス事業から撤退しなければならなかったのか。
Pentium III-1.13GHz登場の背景--2000/08/04
―Pentium IIIとAthlonの飽くなき性能競争―
Intelが1.13GHz版Pentium IIIの「限定出荷」を開始した。なぜ、この時期に限定出荷という中途半端な方法で発表を行わなければならなかったのだろうか。
Pentium 4にSDRAM対応チップセット登場の噂--2000/07/27
―Pentium 4搭載のクライアントPCが身近になる可能性―
Intelの次世代プロセッサ「Pentium 4」のチップセットにSDRAM対応のものがライアップされる可能性が出てきた。大幅にシステム価格が下がり、Pentium 4の普及が加速される可能性がある。
Pentium 4の登場はデスクトップPCに何をもたらすのか?--2000/07/05
2000年6月28日、Intelは開発コード名「Willamette(ウィラメット)」で呼ばれていた次世代プロセッサのブランド名を「Pentium 4」にすると発表した。Pentium 4の登場で、インテルのデスクトップPC向けプロセッサはどうなるのだろうか。
USBの次なる一歩--2000/06/19
―480Mbits/sの高速転送をサポートしたUSB 2.0―
2000年4月に発表となったUSB 2.0の全貌がついに明らかとなった。データ転送速度は、IEEE 1394の400Mbits/sを超える480Mbits/sを誇り、ハードディスクやデジタル・ビデオ・カメラなど、これまでのUSBでは不得意であった分野もカバーする。
Crusoeはx86プロセッサの新境地を開くのか?--2000/06/19
Transmetaの話題の省電力プロセッサ「Crusoe」を搭載した製品の発表がついにカウントダウンに入った。このCrusoeとはどんなプロセッサなのか、概要を解説する。
AMDが新しいAthlonとDuronを発表--2000/06/08
2次キャッシュをプロセッサ・ダイに同梱したAthlonとDuronが発表された。このAthlonとDuronの登場は、今後のPCにどのような影響を与えるのだろうか。
パームが2001年上半期に日本でワイヤレス・サービスを開始--2000/05/27
パームが日本での本格的な販売を開始した。2001年には、すでに米国で開始しているワイヤレス・サービスを日本にも導入するという。果たして、パームのワイヤレス・サービスに勝算はあるのか?


System Insider フォーラム 新着記事
  • Intelと互換プロセッサとの戦いの歴史を振り返る (2017/6/28)
     Intelのx86が誕生して約40年たつという。x86プロセッサは、互換プロセッサとの戦いでもあった。その歴史を簡単に振り返ってみよう
  • 第204回 人工知能がFPGAに恋する理由 (2017/5/25)
     最近、人工知能(AI)のアクセラレータとしてFPGAを活用する動きがある。なぜCPUやGPUに加えて、FPGAが人工知能に活用されるのだろうか。その理由は?
  • IoT実用化への号砲は鳴った (2017/4/27)
     スタートの号砲が鳴ったようだ。多くのベンダーからIoTを使った実証実験の発表が相次いでいる。あと半年もすれば、実用化へのゴールも見えてくるのだろうか?
  • スパコンの新しい潮流は人工知能にあり? (2017/3/29)
     スパコン関連の発表が続いている。多くが「人工知能」をターゲットにしているようだ。人工知能向けのスパコンとはどのようなものなのか、最近の発表から見ていこう
@ITメールマガジン 新着情報やスタッフのコラムがメールで届きます(無料)

注目のテーマ

System Insider 記事ランキング

本日 月間